Описание тега hardware-design
1
ответ
Различные виды сброса в чипе ( SoC)
Я хочу знать различные виды сброса и определения в чипе. Предпочтительно Сброс, Сброс, Система, Приложение, Сброс при включении. Также простой пример для каждого из них высоко ценится. Благодарю.
27 ноя '12 в 11:10
1
ответ
Аппаратный дизайн сумматора 3 двоичных чисел
Я хочу создать двоичный полный сумматор, чтобы добавить 3 двоичных числа, типичная ячейка этого сумматора будет выглядеть следующим образом Может кто-нибудь объяснить, почему у нас есть 2 переноса на следующий бит? С уважением
10 ноя '13 в 18:20
2
ответа
Неизвестно Неправильный результат при моделировании дизайна Verilog в моделях
Дизайн: //structural description of 74151 module s_74151(VCC, GND, D0, D1, D2, D3, D4, D5, D6, D7, A, B, C, STROBE, Y, W); input D0, D1, D2, D3, D4, D5, D6, D7; //data inputs input STROBE; //enable input A, B, C; //Data select output Y, W; //outputs…
12 июн '15 в 12:34
0
ответов
Замена для EPCS4 4 Мбит, ни вспышка
Есть ли замена для EPCS4 4 мбит ни флеш? EPCQ4A довольно дорогой и M25P40 также устарел.
09 ноя '18 в 16:17
8
ответов
Начало разработки оборудования
Я рассчитываю начать простую разработку аппаратного обеспечения и, надеюсь, достаточно прогрессировать, чтобы учиться у реверс-инжиниринга. Я хотел бы начать с микроконтроллера и иметь в виду несколько таких, как Mbed и Arduino. С чем мне идти? Я ду…
09 фев '10 в 08:03
0
ответов
Могут ли две микросхемы обращаться по очереди к двум банкам памяти?
Предположим, у вас есть два чипа - для конкретности, скажем, ЦП и видеочип - оба из которых хотят получить доступ к памяти с максимальной пропускной способностью, на которую способна память. Скажем, каждый видеокадр состоит из X байтов, процессор хо…
24 янв '17 в 21:50
1
ответ
Как управлять неинициализированными входными сигналами
Мне бы хотелось узнать ваше мнение о том, как тестировать и управлять входными сигналами компонентов, которые являются "U", "X", "-",... Например, если я хочу, чтобы вывод был "X" для всех недопустимых входов, где хотя бы один бит ввода не равен "0"…
12 ноя '12 в 00:50
2
ответа
Использование Generate в Vhdl
У меня есть следующий фрагмент кода в Vhdl, теперь я хочу условно перенаправить этот сигнал S1 на выходной порт, я буду благодарен, если кто-то может помочь мне через это. Gen: for index in 0 to 4 generate signal s1 : ARRAY_TYPE; --- array of (0 to …
20 ноя '14 в 15:27
1
ответ
Как реализовать схему в коде VHDL и преобразовать типы данных из std_logic в бит
Я попытался реализовать сумматор, который намного быстрее, чем в среднем RCA. Поэтому я использовал библиотеку XILINX и нашел один простой сумматор adsu8. Я хочу встроить его в мой недавний код VHDL. но поэтому я должен придерживаться типа данных BI…
20 авг '15 в 15:34
0
ответов
Поддерживает ли библиотека шаблонов Eigen C++ в инструменте Catapult HLS?
Я использую инструмент HLS в качестве катапульты для генерации кода HDL, позже я применю свой проект в FPGA. Но я сталкиваюсь с множеством проблем при попытке компиляции алгоритма с использованием собственной библиотеки в инструменте катапульты HLS.…
12 июн '18 в 12:06
1
ответ
Почему внутренние компоненты не выполняются
Итак, я создал иерархический дизайн компонентов в VHDL. Объект верхнего уровня на данный момент является следующим. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation …
21 сен '17 в 03:03
1
ответ
Могу ли я использовать библиотеки openCV с Catapult C?
Моя конечная цель - приложение для обнаружения лиц и объектов и общая обработка изображений на ПЛИС Altera DE2. Я использую Catapult C для программирования FPGA (поэтому я использую код C, а не Verilog или VHDL). Мой вопрос заключается в том, поддер…
26 апр '13 в 15:22
0
ответов
Реализация RS-232 в Verilog/HDL
В настоящее время я занимаюсь разработкой части RS-232/UART моего процессора и решил разработать ее на HDL, а не использовать netlist/GUI. Пока у меня есть следующее, но это приводит к ошибке:Синтаксическая ошибка в строках 9 и 16 Вот мой (очень кор…
02 мар '19 в 17:43
1
ответ
Аппаратное обеспечение для "A div B" с фиксированной точкой A и B
Мне нужен способ вычислить, сколько раз число с фиксированной точкой B содержится в числе с фиксированной точкой A. Что-то вроде целочисленного деления, но для нецелых операндов. Мне нужно спроектировать аппаратный блок для этой операции. Мое первое…
13 дек '15 в 10:40
3
ответа
Можете ли вы порекомендовать сайт для исходных кодов VHDL?
Мне нужен сайт с исходными кодами VHDL, который предоставляет готовый исходный код компонента. например: полный исходный код vhdl сумматора.
17 июн '09 в 10:23
1
ответ
Запрос кеша в Forth CPU
В оперативной памяти компьютера хранится более длинная программа, написанная на языке программирования Forth. Он содержит три подфункции и занимает слишком много байтов для хранения их в кэше первого уровня ЦП. Необходима стратегия кеширования, кото…
07 апр '18 в 05:30
0
ответов
Икарус Verilog на Windows7
Раньше я работал с iverilog на win-xp. Но с тех пор как я перешел на win7, он не работает. И я даже не использую GTK, я просто хочу запустить простую симуляцию. Я использовал разные версии iverilog (от 0.9.4 до 0.9.6), те же результаты. Когда я комп…
28 мар '13 в 04:42
1
ответ
Verilog: дождаться оценки логики модуля в блоке всегда
Я хочу использовать вывод другого модуля внутри всегда блока. В настоящее время единственный способ заставить этот код работать - это добавить #1 после присвоения pi_in, чтобы прошло достаточно времени, чтобы Pi завершил работу. Соответствующая част…
16 янв '17 в 14:25
1
ответ
Мультиплексор в vhdl со структурным дизайном
Я совершенно не знаком с VHDL и хочу реализовать следующий мультиплексор для логического вывода S0 => S1 без использования других вентилей. Я хочу использовать структурный дизайн, но одна из моих основных проблем заключается в том, что я не поним…
08 май '20 в 12:09
1
ответ
Опасности данных на аппаратных платформах
У меня есть список из 2 типов опасностей: 1a. EX/MEM.RegisterRd = ID/EX.RegisterRs 1b. EX/MEM.RegisterRd = ID/EX.RegisterRt 2a. MEM/WB.RegisterRd = ID/EX.RegisterRs 2b. MEM/WB.RegisterRd = ID/EX.RegisterRt Я не могу понять интуицию, лежащую в основе…
25 июн '20 в 16:10