Описание тега ghdl
GHDL - это свободно распространяемая реализация анализатора и симулятора VHDL с открытым исходным кодом, написанная Тристаном Гинголдом на языке Ada. Существует несколько версий, включая интерфейс компилятора gcc и версию mcode для прямой компиляции, которая обычно встречается на ПК с Windows.
1
ответ
Измените общее значение VHDL с помощью ghdl в cocotb
Мне удалось прочитать значение универсального модуля с cocotb без проблем. Но если мне не удастся это написать. Мой VHDL общий: ... generic ( ... C_M00_AXI_BURST_LEN : integer := 16; ... ) Я могу прочитать это в cocotb: self.dut.log.info("C_M00_AXI_…
18 май '17 в 06:57
2
ответа
GHDL вешает работающий тестовый стенд
При тестировании простой реализации жизненной игры в VHDL имитация GHDL пустого тестового стенда зависает при 100% -ной загрузке ЦП после вывода сообщения "Конец теста". Вот код: ----- Package ------------------------------ library ieee; use ieee.st…
25 июл '13 в 07:58
1
ответ
Сигнал падает до неопределенного, в то время как все связанные сигналы определены
Я пишу процесс, который должен искать каждый входящий бит, отслеживать погоду или нет, общее количество полученных единиц равно 1, и, когда придет время, нужно сравнить значение с эталонным значением. Процесс следующий: parity_tester : process(clk, …
23 мар '16 в 15:36
2
ответа
Структурный 4-битный кольцевой счетчик с D-триггером. VHDL / GHDL
Я не знаю, как это сделать со структурным программированием... "Двоичный счетчик (с сигналом сброса) из 4 битов, выполненный из 4 D триггеров". Как подключить входы / выходы? Вот объявления сущности. Суть проблемы - в последних строках. --FFD entity…
06 янв '12 в 23:06
0
ответов
Установка GHDL в Mac OS
Я пытаюсь установить последнюю версию GHDL на MacOS (v0.35). Ранее я установил 0.29, который устанавливает HomeBrew, но эта версия доставляет мне некоторые проблемы, поэтому мне нужно обновить. Я скачал файл tar с веб-страницы релизов и увидел, что …
17 дек '17 в 15:54
1
ответ
ghdl install (tgingold): gnatmake не найден, но существует: usr/local/gnat/bin/gnatmake
Пользователь MacOs: Sierra Vhdl пользователь тоже. Я установил ada-gpl_2017: хорошо (путь написан в.bash_profile) Я установил ghdl через git tgingold и вернул странную ошибку: Я сделал: ./configure --prefix=/usr/local # ok make # ok sudo make instal…
18 авг '17 в 14:06
0
ответов
Инструкция VHDL требует: Не 64-битный режим
Я использую GHDL (компилятор с открытым исходным кодом для VHDL) на Mac. Я пишу этот простой компонент половину сумматора: library ieee; use ieee.std_logic_1164.all; entity ha is port( a: in std_logic; b: in std_logic; o: out std_logic; c: out std_l…
30 май '18 в 06:51
1
ответ
Как решить ошибку "protected_enter(2)" в GHDL
Я пытаюсь реализовать версию нашего VHDL-08 PoC.Simulation вспомогательный пакет. Исходный пакет использует общие переменные для отслеживания статуса симуляции: пройти: все утверждения пройдены остановить: остановить все процессы Есть несколько функ…
22 апр '15 в 19:14
2
ответа
GHDL и VHDL - ввод для исполняемого файла
В Windows я знаю, что никакой исполняемый файл не создается командой "ghdl -e что-то"; в линуксе он создан. Я должен дать входной файл для моего исполняемого файла. Если бы я был в Linux, я мог бы сделать это с помощью./something
24 янв '11 в 13:21
1
ответ
Каков правильный синтаксис для псевдонима символьного литерала в перечислении?
В образовательных целях я пытаюсь объявить псевдоним для значения перечисления, которое является символьным литералом. В приведенном ниже примере я пытаюсь создать псевдоним bit_one для значения '1' в типе перечисления bit (заявлено в стандартном ст…
03 окт '14 в 00:30
3
ответа
Как мне скомпилировать и запустить программу VHDL на Mac с помощью ghdl?
Я только что написал простую программу VHDL. Я сохранил файл как VHD-файл. Затем я скомпилировал это с ghdl -a test.vhd а затем встроенный и исполняемый файл с ghdl -e test И наконец попытался запустить его с ghdl -r test То, что случилось, - то, чт…
12 июн '13 в 15:51
1
ответ
Простой VHDL 4 к 1 MUX испытательный стенд висит
-----------begin part1.vhdl--------------------- library ieee; use ieee.std_logic_1164.all; entity part1 is generic ( width : integer :=7); PORT( a, b, c, d: IN std_logic_vector(width downto 0); sel: IN std_logic_vector(1 downto 0); result: OUT std…
15 мар '11 в 00:39
2
ответа
Отсутствует сигнал на VCD-выходе GHDL
Я пытаюсь ответить на простой кейс в книге VHDL. Как вы можете понять из исходного кода, он устанавливает выходное значение в зависимости от входного диапазона. Тем не менее, я не мог наблюдать за моим вкладом, x, когда я запускаю тестовый стенд с п…
08 фев '18 в 06:54
2
ответа
VHDL/GHDL Двоичное 32-битное переполнение записи при установке старшего бита
У меня есть VHDL testbench, где я хотел бы записать 32-битные двоичные слова в файл для тестирования. Ниже приведен минимальный, полный, проверяемый пример. При выполнении с GHDL (команды ниже) в указанной строке генерируется переполнение. Если стро…
12 авг '18 в 02:05
1
ответ
Как объединить DLL в EXE в MinGW при компоновке
Я компилирую GHDL на моей машине с помощью: AdaCore GNAT GPL 2017 Это автономный компилятор Ada для Windows, который создает один исполняемый файл;или с MSYS2 / MinGW64 (GCC + GNAT + CLANG, CLANG ++,...)Это цепочка инструментов компилятора GCC для W…
01 май '18 в 19:08
1
ответ
Делитель для std_logic_vector VHDL
Я пытаюсь реализовать делитель для std_logic_vector с языком VHDL, После того, как я реализовал это, я должен использовать GHDL, но столкнулся с этой ошибкой: vhdl:error: bound check failure at divisor.vhdl Это соответствует этому: else .... nb_reg …
24 окт '17 в 11:03
1
ответ
Как я могу удалить ghdl 0.29 из Debian?
Я следую этим шагам, чтобы установить компилятор GHDL в моем Debian, но теперь мне нужно удалить этот компилятор для установки версии x64, а я не могу. By downloading the binaries and unpacking them manually: $ wget http://ghdl.free.fr/site/uploads/…
11 ноя '16 в 21:24
1
ответ
Нарушение границ bit_vector статической константой
Размещение этого вопроса на SO, а не на EE связано с тем, что я борюсь с недостатками кодирования / программного обеспечения. Я новичок в VHDL и прохожу книгу "Свободный диапазон VHDL". Играя с bit_vector Я обнаружил, что для доступа к одному провод…
06 дек '15 в 00:34
2
ответа
IEEE из Synopsys в GHDL: передается как опция, но не учитывается правильно
Я использую симулятор GHDL, но я не могу понять, как использовать не IEEE-пакеты, такие как std_logic_textio (очевидно, из Synopsys), полезный для различного анализа (хард в моем случае). Я тщательно скомпилировал свой код с возможностью указать, чт…
23 мар '18 в 16:41
1
ответ
Плохой символ в идентификаторе в GHDL
Я пытаюсь скомпилировать следующий компонент в GHDL в Ubuntu 17.10. Это код компонента: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Unsigned entity simple is end simple; architecture behaviour of simple is signal clk : st…
28 мар '18 в 17:56