Описание тега hardware-programming

1 ответ

Как отобразить один бит сигнала на несколько бит вектора?

Я попытался отобразить немного сигнала (здесь addS типа подписано (от 32 до 0) в структурном описании, например: add2 : entity work.adderSigned(behavioral) generic map(N => 64) port map(a(63 downto 32) => mulssS, --concat a(31 downto 0) => …
29 ноя '15 в 18:59
1 ответ

Я сталкиваюсь с "Ошибка несоответствия размера" в Verilog

parameter N1 = 5; parameter N2 = 5; wire [(N1+N2-1):0] seckey [8:1]; shiftreg #(.depth(N1+N2-1)) sr1( .clk(clk), .reset(reset), .data_in(muxout[1]), .data_out(seckey[0])); // ------------------------------------------------ -------------------------…
03 фев '18 в 22:27
0 ответов

Как подать на 10 динамиков 10 разных сигналов?

Как вывести 10 разных аудиоканалов на 10 разных колонок одновременно, используя Raspberry Pi 3 и его или любые другие аксессуары? У меня есть 10 разных mp3-файлов, и я хотел бы вывести каждый файл на разные динамики, независимо друг от друга. Я не з…
26 окт '17 в 00:46
1 ответ

Код не загружается в новую мега Aurdino

Я новичок (новая доска и я тоже) в программировании на Arduino. когда я загружаю свой код в мегаплату ardino (используя Aurdino 1.8.2), я получаю следующую ошибку Arduino: 1.8.2 (Windows 10), Board: "Arduino/Genuino Mega or Mega 2560, ATmega2560 (Me…
25 апр '17 в 17:33
1 ответ

Programmatically restart USB device in Windows

Некоторое программное обеспечение, которое я разрабатываю, требует наличия устройства USB (с которым я взаимодействую как SerialPort, с мостом USB-к-UART). Иногда после перезагрузки компьютера из режима гибернации устройство не обнаруживается, и я б…
1 ответ

VHDL Мой код работает на FPGA?

Я недавно почти закончил свой проект (мне нужно создать делитель частоты (50 МГц->1 Гц (1 с)) и завершить 7-сегментный декодер дисплея) . Мой проект состоит из таймера (отсчет до 00:00 вызывает тревогу и подсчитывает, если текущее состояние 00:00) .…
05 май '16 в 14:05
1 ответ

Как получить доступ к оборудованию x64 напрямую, без использования уровней аппаратной абстракции?

У меня странный вопрос, но я заинтересован в этой теме. Есть ли возможность получить доступ к аппаратному обеспечению текущего компьютера на базе x64 напрямую, без использования HAL (Hardware Abstraction Layer) или других операционных систем (udev, …
17 мар '16 в 14:50
0 ответов

Как процессор x86 знает, какой ISR запустить на основе аппаратного прерывания

Как процессор x86 знает, какую подпрограмму обработки прерываний запустить на основе аппаратного прерывания? Я имею в виду, является ли это своего рода отображением между номером аппаратного прерывания и определенной подпрограммой обслуживания преры…
08 янв '18 в 12:21
0 ответов

Использование.net API с пакетами Python

Я заинтересован в управлении несколькими инструментами, API которых написан на C# .NET. Исходя из моего опыта, если я использую IronPython, то я не могу использовать пакеты Python, такие как Numpy и Scipy. Тем не менее, я хотел посмотреть, есть ли д…
28 ноя '17 в 21:27
0 ответов

Как разблокировать Segger JLink

Недавно я заново создал образ своего компьютера и переустановил программное обеспечение jlink. Когда я подключил свой jlink, потребовалось обновление прошивки, которое я установил. После обновления прошивки jlink отключился и не вернулся. У меня ест…
15 сен '18 в 13:55
1 ответ

Как ввести шестнадцатеричный в двоичный файл, используя прерывание DOS в сборке?

Следующий код включает шестнадцатеричное число (относящееся к коду ASCII, предположим, что оно получено с клавиатуры), я хочу вывести это шестнадцатеричное число на экран, но в "двоичном", используя прерывание DOS. NUMLOCK - 45 часов. [org 0x0100] m…
16 янв '17 в 17:36
1 ответ

Использование Dependency Injection для аппаратной абстракции

Я играл с Dependency Injection в связи с аппаратной абстракцией. Итак, в основном у меня есть несколько аппаратных устройств, которыми я хочу управлять из приложения C#. Эти устройства обычно имеют корневое устройство, которое используется для досту…
2 ответа

Взлом компьютерного оборудования для проведения эксперимента

Я физик, и несколько недель назад у меня было откровение о том, как я могу использовать свой персональный компьютер, чтобы получить гораздо более точный контроль над лабораторными экспериментами, чем обычно. Прежде чем я убежал, чтобы попробовать эт…
27 окт '14 в 03:00
1 ответ

Возможно ли, что правильный алгоритм дает неправильный результат?

Возможно ли, что компьютер дает неправильный результат из-за аппаратной ошибки? Например, если я скажу процессору вычислять 6 раз 9 (оба целых числа) много раз, все вычисления дадут правильный ответ? Если есть вероятность того, что некоторые расчеты…
05 окт '13 в 18:52
2 ответа

Задержка перехода на 1 команду в реализации Verilog и проблема синхронизации

У меня есть 16-битная реализация MIPS с одним циклом, очень редкая, над которой я работал в Verilog. Все работает, за исключением того факта, что ветвление задерживается на один полный тактовый цикл. always @(posedge clock) begin // Necessary to add…
1 ответ

Что означает 3'bzzz в verilog?

У меня есть следующий код, но я не знаю, что 3'bzzz обозначает: `timescale 1ns / 1ps module reg_tercer_estado(entrada,hab,salida); input [2:0] entrada; input hab; output [2:0] salida; reg [2:0] auxsalida; always @(entrada) begin case (hab) 1'b0: aux…
04 май '14 в 07:00
6 ответов

Программный светодиод только с USB-портом

Я хочу управлять светодиодом с помощью C#, используя только USB-порт. Я не хочу подключать любое другое устройство с USB. Я просто хочу подключить светодиод непосредственно к выводам USB-порта и запрограммировать его (мигать и т. Д.). Как я могу это…
03 сен '10 в 12:54
1 ответ

Запустить программу распознавания речи, когда на USB-порту обнаружен определенный микрофон (чтобы избежать небрежного использования)

Я хочу ограничить использование моей программы распознавания речи только одним конкретным микрофоном. Как спроектировать модуль так, чтобы, если этот конкретный микрофон был подключен, началось дальнейшее выполнение, в противном случае оно будет пре…
1 ответ

Как динамически создать экземпляр оборудования из списка доступных модулей в SystemVerilog?

Я пытаюсь запрограммировать популярную космическую съемку для классного проекта и столкнулся с проблемой создания экземпляров модуля. В настоящее время у нас есть модуль, который кодирует движение снаряда и создал их массив для "активации". Мы хотим…
0 ответов

STM32 Настройка параметров панели драйвера контроллера

Я работаю с чипом STM32 над проектом и мне интересно добавить опции в панель конфигурации контроллера... Это в основном обработчик для переключателя и педалей, созданных кем-то другим, и я хотел бы изменить его для работы с большим количеством игр. …
24 окт '18 в 09:38