Описание тега sigasi-studio

Sigasi Studio - это автономный редактор на основе Eclipse и плагин Eclipse, в котором добавлена ​​поддержка различных языков описания оборудования, таких как Verilog или VHDL.
2 ответа

Синтаксис регулярных выражений для проверки префиксов и суффиксов

Я создаю некоторые выражения регулярных выражений для соответствия соглашениям об именах в Sigasi Studio (которая использует синтаксис Java для регулярных выражений). Например, имя порта должно заканчиваться на _i или _o - например, my_input_port_i …
26 янв '18 в 12:41
1 ответ

Sigasi Eclipse "Codometer" наполовину скрытый

Я использую плагин Sigasi Eclipse для программирования и моделирования VHDL через Eclipse. Однако в настоящее время я использую только бесплатную версию, которая поддерживает проекты до определенного размера. Этот размер удобно указывается с помощью…
20 ноя '15 в 11:39
2 ответа

Неполный список чувствительности в VHDL с редактором Sigasi

В настоящее время я пытаюсь развить свои навыки VHDL и поэтому использую плагин Sigasi для Eclipse для написания некоторого кода VHDL. Сигаши - отличный инструмент, но есть одна вещь, которая меня беспокоит. Постоянно Sigasi выбрасывает предупрежден…
05 авг '16 в 11:50
1 ответ

Сигаси в Затмении

Я только что установил плагин Sigasi Studio Eclipse (версия: Eclipse IDE 2018-12). Когда я пытаюсь запустить его, чтобы создать новый VHDL-файл, я получаю следующее: Выбранный мастер не может быть запущен. org/eclipse/lsp4j/Range (произошло в com.si…
12 фев '19 в 09:23
1 ответ

Запустить действие редактора затмения для всего проекта

Вопрос: Есть ли способ запустить действие затмения, которое доступно из контекстного меню в редакторе для каждого файла проекта. Фактический случай: Мне нужно поработать с leon3, и моему унылому уму не хватает понимания кода, поэтому я не хочу скани…
29 авг '13 в 10:14
1 ответ

Почему внутренние компоненты не выполняются

Итак, я создал иерархический дизайн компонентов в VHDL. Объект верхнего уровня на данный момент является следующим. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation …
21 сен '17 в 03:03
1 ответ

Активация / Использование цепочки инструментов ISim с Eclipse (VHDL)

Я пытаюсь запрограммировать очень простой VHDL на затмение, используя плагин Sigasi с лицензией на образование - с целью я могу запрограммировать для него простую сущность и тестовый стенд, а затем скомпилировать и смоделировать ее в ISim Xilinx. Я …
23 окт '15 в 08:57