Описание тега gtkwave

GTKWave - это программное обеспечение для визуализации волн с открытым исходным кодом, которое совместимо со многими известными волновыми форматами, включая wif (созданный modelsim). Он обычно используется для визуализации результатов тестирования реализаций VHDL/Verilog.
1 ответ

Сигнал падает до неопределенного, в то время как все связанные сигналы определены

Я пишу процесс, который должен искать каждый входящий бит, отслеживать погоду или нет, общее количество полученных единиц равно 1, и, когда придет время, нужно сравнить значение с эталонным значением. Процесс следующий: parity_tester : process(clk, …
23 мар '16 в 15:36
2 ответа

Как я могу проверить разницу между sc_buffer и sc_signal?

Я хотел бы проверить разницу между использованием sc_buffer а также sc_signal, Я кодировал модуль, который добавляет два случайных числа, а затем параллельно запускаю два теста: один с использованием sc_buffer а другой использует sc_signal, Тем не м…
01 июл '16 в 12:40
1 ответ

Как изменить временную шкалу в vcd, сгенерированном chisel3 iotester

Я уже задавал подобный вопрос для chisel2 в случае бэкэнда C++. Но сейчас я использую пример шаблона с iotester (peek и poke) с chisel3. С помощью следующего кода (можно найти на моей странице проекта github): class TapTempoUnitTester(t: TapTempo) e…
03 апр '18 в 20:13
2 ответа

Перезагрузите файл VCD в gtkwave из командной строки

Я использую VCD-файл, сгенерированный iverilog с помощью gtkwave. В графическом интерфейсе есть кнопка, но я бы хотел перезагрузить окно волны из командной строки. Как бы я пошел об этом?
12 июл '17 в 16:42
1 ответ

Как указать аннотации в файлах VCD?

В VCD Файл Я хотел бы указать, что некоторые события произошли в определенное время. С этой целью я попытался определить однобитовый сигнал, значение которого почти время 0и переключив это значение на 0 а затем вернуться к 1 в то же время (в то врем…
13 окт '15 в 05:47
2 ответа

Назначение входа в testbench и выходные значения (ghdl и gtkwave)

Я иду прямо к конкретике. Я использую Ubuntu 14.04LTS, компилятор GHDL и GTKWave для симуляции. У меня есть два файла для моделирования простого 2-мультиплексора: mux2.vhd и mux2_testbench.vhd Это код для mux2.vhd -- Libraries library ieee; use ieee…
25 мар '16 в 20:59
0 ответов

gtkwave addSignalsFromList с сигналом массива

Я запускаю gtkwave с -S флаг для передачи .tcl скрипт такой: gtkwave signals.vcd -S signals.tcl Файл VCD был сделан из Verilator. В моем файле VCD у меня есть массив сигналов. Я могу легко использовать свою мышь, щелкнуть и добавить их в представлен…
08 фев '18 в 05:25
1 ответ

Verilog, gtkwave out[3],out[2],out[1],out[0]

Здравствуйте, я только что написал код: //MUX 4-bit 2:1 execise2 module mux_2_1_4_bit (out, a, b, sel); output [3:0] out; input [3:0] a; input [3:0] b; input sel; assign out[0]=sel?a[0]:b[0]; assign out[1]=sel?a[1]:b[1]; assign out[2]=sel?a[2]:b[2];…
04 янв '18 в 13:15
1 ответ

Как измерить время между двумя маркерами в gtkwave?

Я имитирую свой дизайн verilog с помощью iverilog и вывожу вывод в файл fst. Затем я использую gtkwave для просмотра формы волны. gtkwave позволяет мне добавить несколько маркеров. Как мне тогда измерить дельту между любыми двумя маркерами. Я прочит…
01 дек '17 в 04:43
2 ответа

GtkWave не получает сигналы от симуляции IVerilog

Я написал следующий тест для моего кода: module HalfAdder_Test; wire sum; wire carry; reg a = 0; reg b = 0; initial begin $dumpfile("test.vcd"); $dumpvars(0, HalfAdder_Test); # 10 a = 0; # 10 b = 0; # 30 a = 1; # 30 b = 0; # 50 a = 0; # 50 b = 1; # …
01 июл '17 в 18:58
0 ответов

Точка входа в процедуру __gxx__personality_ не может быть найдена

Я получаю сообщение об ошибке при попытке запустить iverilog из командной строки. Показывает сообщение об ошибке: точка входа в процедуру __gxx__personality_ не может быть расположена в библиотеке динамических ссылок c:\iverilog\lib\ivl\ivl.exe Я по…
02 июл '18 в 08:59
1 ответ

Как отобразить файлы Value Dump(VCD) на веб-страницах? Я хочу визуализировать файл VCD на моей веб-странице

В моем случае файл vcd генерируется на сервере, и я хочу визуализировать этот файл в программном обеспечении gtkwave на веб-странице, чтобы клиент мог видеть файл в gtkwave. Я не опытный веб-разработчик, пожалуйста, помогите, если кто-нибудь знает, …
13 июл '17 в 05:34
1 ответ

Соответствие строковому образцу с tcl

Я новичок в tcl и пытаюсь захватить только заданную пользователем глубину иерархии следующего: top.run.end top.run.something.end top.simple.end1 top.simple.end2 top.simple.something.end1 top.simple.something.end2 top.simple.something.else.end top.si…
07 мар '19 в 00:16
1 ответ

почему clk_o2 здесь x?

Я пытался отложить начало, но это не сработало. Я новичок в Verilog, и это была проблема с обучением. Код ClockDivisor Тест Бенш Результат Я получаю x на clk_o2, если меняю его на отрицательном фронте
02 дек '19 в 01:59
1 ответ

Проблемы с компиляцией GHDL

Я новичок в программном обеспечении GHDL. После загрузки ghdl для Windows я проверил простую программу полусумматора. при использовании команды ghdl -e ha_tb ghdl -r ha_tb Я получаю такую ​​ошибку...ghdl.exe: Cannot find entity or configuration ha_t…
17 авг '20 в 10:50
2 ответа

Отображение структур verilog в gtkwave из FSDB

GTKwave 3.3 не отображает структуры Verilog, выгруженные VCS в FSDB. Объявляю typedef: typedef struct packed { logic [DATA_WIDTH-1:0] data; logic valid; logic fp; } in_tdef; А затем используйте его как ввод: input in_tdef isv_data_in; но GTKwave не …
11 сен '19 в 16:10
1 ответ

Неправильная отладочная информация при устранении неполадок vcd2fst segmentation fault

Я использую vcd2fst инструмент (установленный с GTKwave) для преобразования .vcd файл в .fst используя следующую команду: vcd2fst -v wave.vcd -f dummy.fst Это отлично работает на моем хосте Ubuntu 18.04. Между тем, когда та же команда (и та же верси…
17 сен '19 в 11:05
1 ответ

Ввод мусора Verilog не приводит к выводу мусора

Я пишу простой блок управления в Verilog. Это выглядит примерно так. module controlUnit( output reg wreg, input wire [5:0] op, func ); // wreg sub-handles. Beware: wreg is 0 if any of these s high wire isBranch = (op[5:3] == 3'b0) & (|op[2:0]); …
23 окт '20 в 15:20
1 ответ

Шестнадцатеричные числа verilog gtkwave продолжают печататься

Даже если я напишу его в формате 8'b????~~ на тестовом стенде, когда я отслеживаю его с помощью gtkwave, он выводится в формате 00, FF, 1A вместо формата 00000000. Как писать код? `timescale 1ns/10ps module tb_TaskChecker; reg [7:0] data_in; wire [8…
26 окт '20 в 08:04
0 ответов

Как протестировать стендовые сигналы VHDL и показать их в GTKWAVE?

Я эмулировать этот VHDL код , используя GHDL в терминал, никаких ошибок не произошло, но когда я импортировал .vcd файл в GTKWAVE сигнал не появлялся. СКРИНШОТ GTKWAVE Код проектирования: Library ieee; Use ieee.std_logic_1164.all; Use ieee.numeric_s…
26 фев '21 в 13:10