Шестнадцатеричные числа verilog gtkwave продолжают печататься

Даже если я напишу его в формате 8'b????~~ на тестовом стенде, когда я отслеживаю его с помощью gtkwave, он выводится в формате 00, FF, 1A вместо формата 00000000. Как писать код?

`timescale 1ns/10ps

module tb_TaskChecker;
reg [7:0] data_in;
wire [8:0] parity_out;
reg error;

TaskChecker tb(.data_in(data_in), .parity_out(parity_out));

initial
    begin
        $dumpfile("test_TaskChecker_out.vcd");
        $dumpvars(-1, tb);
        $monitor("b", parity_out, error);
    end

initial
    begin
        data_in = 8'b00000000;
    #100;
        data_in = 8'b00000001;
    #100;
        data_in = 8'b00000010;
    #100;
        data_in = 8'b00000011;
    #100;
        data_in = 8'b00000100;
    #100;
        data_in = 8'b00000101;
    #100;
        data_in = 8'b00000110;
    #100;
        data_in = 8'b00000111;
    #100;
        data_in = 8'b00001000;
    #100;
        data_in = 8'b10100011;
    #100;
        data_in = 8'b11010010;
    #100;
        data_in = 8'b11111111;
    #100;
    end
    endmodule

1 ответ

Чтобы изменить формат данных в GTKWave, вам необходимо изменить настройки в GTKWave, чтобы они отображались в желаемом формате.

В GTKWave щелкните правой кнопкой мыши интересующий сигнал. Чтобы перейти на двоичный: в раскрывающемся меню выберите Data Format, затем нажмите Binary. Значения будут отображаться в двоичном формате, как показано ниже.

Для получения дополнительных сведений см. Руководство пользователя GTKWave здесь.

Другие вопросы по тегам