Описание тега systemc

C++ library used for system-level modeling of hardware designs. Used by engineers in making architectural decisions, modeling performance and enabling software/firmware development concurrently with traditional hardware development.
1 ответ

Чтение файла и передача его через порт

Я пишу некоторый код, который требует, чтобы я прочитал файл и затем использовал его в качестве входных данных для модуля SystemC. Это означает, что я должен прочитать файл, скажем, abc.xxx, а затем отправить его содержимое в двоичном виде на порт (…
14 окт '13 в 01:50
0 ответов

Использование библиотеки SystemC Verification (SCV) в Eclipse с компилятором Cygwin

Я установил Cygwin и загрузил Eclipse C/C++ в Windows 7, и он компилирует некоторые простые программы, такие как Hello world, но мне нужно использовать SCV_EXTENSIONS для создания тестового стенда. Я сделал все, что сказано в этом руководстве, и оно…
14 сен '16 в 09:16
1 ответ

GDB: вход в библиотеку

При запуске приложения возникает ошибка сегментации. Я запустил GDB, чтобы проверить, где мой код не сработал, но я получаю следующий вывод: Program received signal SIGSEGV, Segmentation fault. 0x39ca8000 in ?? () (gdb) bt #0 0x39ca8000 in ?? () #1 …
30 янв '14 в 11:29
0 ответов

Проблема привязки входного порта systemc

Я новичок в systemC. У меня есть модуль, порт которого определен как sc_inout<sc_lv<8> > data; и я пытаюсь проехать на испытательном стенде как sc_signal_rv<8> data; ... module.data(data); data = 0; ... но сигнал всегда х. Я провер…
27 сен '18 в 23:34
1 ответ

Существуют ли какие-либо требования к заказу для привязки multi_passthrough_initiator_sockets?

У меня есть иерархия SystemC top->middle->leaf и пытаюсь связать multi_passthrough_initiator_socket в листе к сокету того же типа в середине его родительского модуля. Сокет в середине затем связывается с целевым сокетом сверху. Привязки сделаны в mi…
28 ноя '18 в 13:09
2 ответа

Как связать модель SystemC с SystemVerilog?

Скажем, у нас есть модель счетчика декад SystemC, и я хочу проверить RTL счетчика SystemVerilog, используя модель SystemC. Как мы можем соединить эти два в тестовом стенде на основе SV/UVM для связи между ними.
02 ноя '15 в 08:37
0 ответов

Ошибка при отладке файла systemc на eclipse-cpp-oxygen-2-win32-x86_64

Чтобы запустить файл systemC "C++ project with cygwin" в eclipse, я получаю сообщение об ошибке, такое как неразрешенное включение #include "systemc.h", когда я пытаюсь отладить список предопределенных файлов, таких как simple_bus.cpp и искренне не …
27 июн '18 в 12:50
1 ответ

Место чтения нарушения доступа 0x00000000

Когда я помещаю приведенный ниже код в свое решение, а затем отлаживаю его, выполняется массаж, содержащий следующее: "Необработанное исключение в 0x0016ec86 в Q2.exe: 0xC0000005: расположение чтения нарушения доступа 0x00000000". подойди на мой экр…
15 янв '15 в 08:32
2 ответа

Ошибка: нет совпадения для вызова "модуля"

Я не могу инициализировать или позвонить full_adder модуль для объединения нескольких full_adder, Getting error error: no match for call to ‘(full_adder) (sc_core::sc_signal_in_if<sc_dt::sc_uint<4> >*, sc_core::sc_signal_in_if<sc_dt::…
17 фев '16 в 06:43
1 ответ

Сравнить изображения с помощью systemC

Я написал на этом форуме с просьбой о помощи в решении этой проблемы, которая заняла у меня много времени, я пишу свою первую программу с использованием systemC, я буду излагать свою цель настолько, насколько смогу, я сохранил 2 матрицы значений пик…
12 июн '17 в 13:43
2 ответа

Как правильно конвертировать sc_lv в sc_uint?

Для проекта я пытаюсь преобразовать значение, полученное от sc_lv<8> введите входной порт для sc_uint<8> Тип сигнала. Кстати, входной порт подключен к sc_signal_rv<8> канал. Я попытался привести входные данные, используя эту строку…
28 ноя '13 в 08:39
1 ответ

Использование системы C в Eclipse Luna

Я хочу установить системную библиотеку в Eclipse Luna в Mac OS. Я пробовал много решений, включая: Project-> Properties->C++ General ->Paths and Symbols. Я все еще не могу использовать include systemc.h. Пожалуйста, предложите другой способ компиляц…
25 мар '16 в 22:42
3 ответа

Как инициализировать имя порта systemc, который является массивом?

Я хотел инициализировать имя порта. Порт является массивом, и мой код не работает. SC_MODULE(example) { sc_clock clk; sc_signal<bool> mysignals[2]; public: SC_CTOR(example) :clk("clk"), mysignals[0]("mysignals[0]"), // won't work mysignals[1](…
16 фев '16 в 06:11
2 ответа

UVM-SystemC Ошибка компилятора Mac

Я пытаюсь вручную скомпилировать некоторые примеры UVM, используя clang++. UVM-SystemC-1.0 была успешно установлена ​​(эти примеры, по-видимому, также запускались в качестве тестов). Однако, когда я компилирую эти примеры, используя команду clang++ …
22 июн '16 в 15:22
0 ответов

SystemC/TLM (C++) разделяет пул памяти; статические члены, статические методы, Singleton или?

Контекст: я пишу специальный протокол связи, который будет использоваться между моделями TLM (блоки HW описаны с помощью SystemC и, следовательно, C++). Понятие TLM не важно, просто обратите внимание, что это взаимодействие имитируется путем выделен…
1 ответ

Почему трассировка SystemC не может захватить последний сигнал?

Вот моя программа: #include <systemc.h> int sc_main(int argc, char* argv[]) { sc_signal<sc_logic> a, b, c, d; // trace file creation sc_trace_file *tf = sc_create_vcd_trace_file("test"); //tf->set_time_unit(1, SC_PS); sc_trace(tf, a, …
06 сен '15 в 09:46
2 ответа

Как я могу проверить разницу между sc_buffer и sc_signal?

Я хотел бы проверить разницу между использованием sc_buffer а также sc_signal, Я кодировал модуль, который добавляет два случайных числа, а затем параллельно запускаю два теста: один с использованием sc_buffer а другой использует sc_signal, Тем не м…
01 июл '16 в 12:40
2 ответа

PyBind11 с SystemC с использованием CMake: ImportError

Я работаю с проектом SystemC, в который я хотел бы добавить привязки Python, используя PyBind11, и я следовал документации на сайте, чтобы написать привязки. Я использую CMake для создания своего проекта, и проблема, с которой я сталкиваюсь, заключа…
19 ноя '18 в 07:16
1 ответ

std:: вне диапазона в коде noxim

Я начинаю с некоторого кодирования SystemC, и я пытаюсь использовать симулятор "Сеть на кристалле" под названием "Ноксим", который, как я слышал, очень плавный и простой. Тем не менее, я получаю эту общую ошибку, когда я пытаюсь "сделать" часть моде…
04 июн '13 в 20:46
1 ответ

Получение имен для отслеживаемых сигналов VCD в SystemC

SystemC позволяет отслеживать сигналы (или элементы и т. Д.) С помощью функции sc_trace, в результате получается файл VCD, который можно использовать в других программах. Именование этих сигналов довольно произвольно, хотя функция принимает любую ст…
20 июн '12 в 14:47