Описание тега cocotb
Cocotb - это среда COsimulation TestBench на основе COroutine для проверки аппаратных проектов VHDL/Verilog RTL с использованием Python. Документация находится на https://cocotb.readthedocs.io Поддержка через канал Gitter https://gitter.im/cocotb или в списке рассылки https://lists.librecores.org/listinfo/cocotb
0
ответов
Остановка кокотка раздвоенной сопрограммы
У меня есть сопрограмма, которая ждет повышения сигнала: @cocotb.coroutine def wait_for_rise(self): yield RisingEdge(self.dut.mysignal) Я запускаю его в своей "основной" тестовой функции, например: mythread = cocotb.fork(wait_for_rise()) Я хочу оста…
19 сен '18 в 15:41
1
ответ
Измените общее значение VHDL с помощью ghdl в cocotb
Мне удалось прочитать значение универсального модуля с cocotb без проблем. Но если мне не удастся это написать. Мой VHDL общий: ... generic ( ... C_M00_AXI_BURST_LEN : integer := 16; ... ) Я могу прочитать это в cocotb: self.dut.log.info("C_M00_AXI_…
18 май '17 в 06:57
1
ответ
Какая разница между <= и = в cocotb?
Кажется, что я могу использовать безразлично<= или =, чтобы установить значение входного сигнала на моем дизайне. Есть ли реальная разница между двумя? dut.button_in = 0 или же dut.button_in <= 0 У меня точно такая же выходная хронограмма.
23 сен '18 в 14:10
2
ответа
Как мне указать функцию сравнения табло в Cocotb?
Я хочу расширить пример Cocian Endan Swapper, чтобы он также проверял содержимое пакетов, выводимых тестируемым устройством (DUT). В приведенном примере кода model Функция, которая генерирует ожидаемый результат, добавляет неизмененную входную транз…
02 мар '16 в 15:55
1
ответ
Cocotb, используя дженерики / параметры в симуляции уровня ворот
Я успешно настроил среду проверки Cocotb для своего дизайна, и я счастлив, что она работает для RTL (в моем случае VHDL). Мой дизайн использует дженерики, и я получаю значение этих дженериков в нескольких местах кода Python (в основном в run_test и …
07 дек '16 в 10:38
1
ответ
verilog с cocotb: назначить оператор
Мой код verilog является сумматором, который просто использует assign sum = a+b, Проблема в том, что при запуске его с помощью cocotb, sum остается неизвестным, хотя a а также b имеют действительные значения. когда я делаю sum Тип рег, это работает.…
08 ноя '15 в 17:05
1
ответ
Монитор шины для cocotb
Я пытаюсь настроить Cocotb в качестве среды проверки. Я смотрел на примеры, которые полезны, но я не уверен, в каком направлении мне идти. Мой блок можно увидеть как:-4 шины на входе-1 часы и 1 сброс в качестве входов-2 шины на выходе Выходные данны…
23 ноя '16 в 17:14
1
ответ
Как напечатать содержимое TestError, поднятого в Cocotb
Если я вызову TestError под сопрограммой теста cocotb: @cocotb.test() def double_cmd(dut): ... raise TestError("Wrong CRC value found") Я знаю, что ошибка возникла в тестовом журнале, но я не вижу сообщения "Найдено неправильное значение CRC": 12580…
02 окт '18 в 16:02
2
ответа
Передача аргумента из make-файла в тестовое окружение cocotb
Приведенный пример D-FF из cocotb / examples / dff /. Как правильно передать аргумент из Makefile к тестовой скамье cocotb dff_cocotb.py без изменения родных make-файлов cocotb? Я попытался изменить строку 30 из cocotb / examples / dff / tests / Mak…
24 ноя '17 в 14:29
1
ответ
Cocotb VHDL нужен для FLI
В настоящее время я настраиваю среду проверки на основе Cocotb. Я только что обнаружил, что пример, предоставленный Cocotb, не работает в моем случае при использовании VHDL, потому что мой симулятор не имеет FLI (интерфейс на иностранном языке). Я п…
09 ноя '16 в 16:31
2
ответа
Как мне указать разрешение по времени в Cocotb?
Я получаю другой период времени, когда я моделирую пример Cocan-кодера Endian Swapper в режиме VHDL и Verilog с использованием QuestaSim. Часы генерируются одинаково для обоих режимов в приведенном примере кода: @cocotb.coroutine def clock_gen(signa…
30 мар '16 в 09:26
0
ответов
Неиспользуемые имена модулей с оператором generate в cocotb
Я использую cocotb v1.0 и ghdl 0.35-dev (llvm и gcc backend). Верхний уровень содержит простое выражение для генерации: gen_pe : for i in 1 to 4 generate ... end generate gen_pe; Я попытался получить доступ к первому сгенерированному модулю в моем т…
28 окт '17 в 09:32
1
ответ
Как уменьшить размер строки журнала в Cocotb
В cocotb testbench печать журнала в терминале имеет действительно длинную строку: 28204007.00ns INFO cocotb.regression regression.py:341 in _log_test_summary *******************************************************************************************…
19 июн '17 в 07:17
1
ответ
Как распечатать сообщение журнала с помощью cocotb
В официальном руководстве по быстрому запуску cocotb метод печати сообщения журнала заключается в использовании _log.info() для объекта dut: import cocotb from cocotb.triggers import Timer @cocotb.test() def my_first_test(dut): """ Try accessing the…
12 май '17 в 07:22
1
ответ
Получая сопрограмму в списке с cocotb
У меня есть сопрограмма, которая ждет события, которое будет установлено: @cocotb.coroutine def wb_RXDR_read(self): """ waiting util RXDR is read """ if not self._RXDR_read_flag: while True: yield self._RXDR_read_event.wait() break Я хочу "уступить"…
17 окт '18 в 12:46
1
ответ
Как заставить использование python 3 в cocotb?
Я использую CocoTB для тестирования своего дизайна HDL, но, как я понимаю, его можно использовать с python2.7 или python3. В конфигурационном файле setup.py я вижу, что оба поддерживаются: [...] "Programming Language :: Python :: 2.7", "Programming …
27 мар '19 в 15:04
2
ответа
Поиск всех условий if в файле python и добавление оператора печати в следующей строке
Я должен отредактировать файл Python таким образом, чтобы после каждого условия if, мне нужно было добавить строку, которая говорит if condition_check: if self.debug == 1: print "COVERAGE CONDITION #8.3 True (condition_check)" #some other code else:…
26 июн '19 в 09:51
1
ответ
Как использовать IP-решения Xilinx на основе RTL для моделирования с использованием Cocotb? Можно ли проверить Xilinx IP с помощью Icarus?
У меня есть дизайн, который имеет Xilinx FIFO IP. Я пытаюсь проверить дизайн с помощью тестового стенда на основе COCOTB. Как я могу включить IP на основе Xilinx для моделирования с использованием COCOTB? Инструментом симуляции, который я использую,…
23 июл '19 в 00:30
1
ответ
GHDL, Прекомпиляция примитивов поставщиков и Cocotb
У меня есть дизайн, где я использую модуль IP, сгенерированный Lattice Diamond. Это использует библиотеку Macxo3l, которая поставляется с бриллиантом в качестве библиотеки поставщика. Используя GHDL, я могу скомпилировать дизайн, включая эту библиот…
02 июл '19 в 22:46
1
ответ
Как сгенерировать часы в COCOTB?
Я пытаюсь проверить дизайн на основе флэш-памяти, используя Cocotb (фреймворк на основе Python), который я новичок в этом. Я всегда использовал Verilog, SystemVerilog в прошлом. Я пытаюсь сгенерировать часы для тестового стенда. Я попытался просмотр…
20 июл '19 в 05:10