Описание тега alu
ALU расшифровывается как Арифметико-логический блок (ALU), который выполняет арифметические и логические операции для компьютерных систем.
0
ответов
ALUcontrol в архитектуре оружия
ALUcontrol в архитектуре arm такой же, как в MIPS? ALUcontrol означает 000 - и 001 - или 010 - добавить 110 - саб 111 - установлено меньше
11 ноя '18 в 12:14
2
ответа
Испытательный стенд нескольких архитектур
Извините, я новичок на этом сайте, но я искал ответы почти 2 дня подряд. Я новичок в VHDL, и назначение попросило сделать простой 16-битный АЛУ. Для этого ALU требуется 2 архитектуры: поведенческая, а также дизайн RTL. У меня есть код для этого, нас…
23 сен '13 в 19:18
0
ответов
ALU не работает в первой волне (verilog)
Я использую Verilog для создания АЛУ! поэтому я пишу код и модуль, который здесь: module full_adder ( A, B, Cin ,S, Cout); output S, Cout; input A, B, Cin; assign {Cout, S} = A + B + Cin; endmodule //--------- module adder2bit (A,B,Cin,S,cout); inpu…
03 июн '17 в 21:05
0
ответов
IJVM MIC | возвращает целочисленный результат больше 9
Я написал код в IJVM, который выполняет умножение, сложение и вычитание из 1-значных чисел. Обычно он читает входной символ, затем проверяет арифметический символ (+|-|*), читает второй символ и выполняет соответствующие вычисления кода (на основе с…
10 янв '18 в 16:58
2
ответа
Разработка 32-битного арифметико-логического устройства (АЛУ)
Я пишу этот кодер для ALU. Это ALU контролируется с ctrl сигналы и выполнять некоторые работы, такие как сложение, вычитание, и, или, ... Когда вывод равен нулю, oZero сигналы должны быть активными. У меня есть некоторые ошибки в отмеченных строках.…
20 май '15 в 13:20
1
ответ
Структурный Verilog 8-Function ALU
Я знаю, как кодировать ALU, используя поведенческий стиль, но я полностью потерян, как сделать это, используя структурное проектирование. Мне нужен структурный ALU с 8-битным фрагментом, чтобы я мог изменить размер слова с помощью параметра, который…
09 окт '15 в 22:17
2
ответа
Получение ошибки для операции shift_left VHDL
Я искал это некоторое время и не смог воспроизвести какие-либо опубликованные решения онлайн, поэтому я надеялся, что некоторые из вас, замечательные люди, могут мне помочь. Я создаю АЛУ. у меня есть два 32-битных входа и один 32-битный выход вместе…
29 мар '17 в 18:40
2
ответа
Трудности с моим ALU в verilog
Так что я проектирую ALU в Verilog, пока я изучаю его. Я придумал следующий код: Testbench: module ALUtb; reg clock = 1'b0; reg [0:7] val1; reg [0:7] val2; initial begin val1 = 8'b01010100; val2 = 8'b10101000; #50 $finish; end ALU piet(val1, val2,, …
29 ноя '16 в 08:26
1
ответ
Verilog 32-битный ALU с флагами переполнения, знака и нуля
У меня есть задание с просьбой создать модуль, как описано в заголовке. Мне нужно сложить, вычесть, И и XOR два входа и установить необходимые флаги. Назначение не было ясным на 100%, но я предполагаю, что флаг переполнения сделает все остальное нед…
02 мар '16 в 21:08
1
ответ
Мой VHDL 1-битный поведенческий ALU завершен?
Я впервые пишу код VHDL, и мне интересно, завершен ли этот простой код ALU VHDL. Все, что я могу найти, для более сложных ALU, но мне просто нужно написать этот простой. Проблема заключается в следующем: Напишите поведенческую модель, которая предст…
31 май '14 в 22:55
1
ответ
VHDL: добавление операций в 8-битный ALU
Я очень новичок в VHDL, и мне необходимо изменить этот ALU с помощью дополнительных восьми операций, которые сами по себе не актуальны, но из тестирования в GTKwave я вижу, что clk(clock) и r(result) прекращают моделирование после первого восемь опе…
02 апр '16 в 02:35
2
ответа
Verilog: ALU дает неправильный вывод
Я изучаю Verilog и вот мой первый ALU.Я не могу понять, почему вывод не отображается в блоке тестера. Пример выходных данных (горизонтальная прокрутка): FAIL: a=00010010000101010011010100100100, b=11000000100010010101111010000001, op=101, z=zzzzzzzz…
11 мар '14 в 00:17
5
ответов
Как мне установить выходные флаги для ALU в курсе "Nand to Tetris"?
Хотя я пометил это домашнее задание, на самом деле это курс, который я делаю самостоятельно, бесплатно. В любом случае, этот курс называется "От Нанда до Тетриса", и я надеюсь, что кто-то здесь видел или прошел этот курс, чтобы я мог получить некото…
23 фев '09 в 01:03
0
ответов
Выполнение операции вычитания с помощью 4-битного ALU
Имея в качестве входов -6 (1010) и -8 (1000), оба закодированы с использованием Two´s Complement, если я хочу сделать 1010–1000, обычный "трюк" состоит в том, чтобы выполнить дополнение Two второго операнда в следующем порядке: чтобы преобразовать a…
22 фев '18 в 21:10
1
ответ
Построить 8-битный ALU, используя Verilog
Я пытаюсь построить 8-битный канал данных в ALU, который может добавить, sub, OR, и два операнда. Я хочу использовать оператор case для каждой операции в коде, но продолжаю получать сообщения об ошибках. Вот как это выглядит до сих пор: module alu (…
22 фев '16 в 02:04
2
ответа
Носить / брать в VHDL ALU
Я делаю общий N-битный АЛУ в VHDL. У меня проблемы с назначением значения для переноса для сложения или заимствования для вычитания. Я пробовал следующее: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity alu is generic(n: …
29 окт '17 в 21:43
1
ответ
Проектирование блока управления ALU для MIPS с одним циклом
Надеюсь, что это не тема для переполнения стека. Я изучал MIPS и застрял на этом этапе. Это таблица истинности для блока управления АЛУ. Теперь я впервые сталкиваюсь с такой сложной таблицей правды, в которой входные данные не имеют значения. Если я…
20 мар '13 в 19:36
1
ответ
1 бит ALU, чьи операции зависят от переноса в
Я должен спроектировать 1-битный ALU для назначения, который затем будет повторно использован для создания 4-х блоков и 4-битного ALU. 1 бит ALU имеет 2 строки выбора и входы A, B и перенос. Моя проблема в том, что строки выбора И флаг переноса выби…
25 янв '15 в 19:34
2
ответа
Дизайн 16 бит ALU
Я проектирую 16BIT ALU, который выполняет несколько операций. У меня есть синтаксическая ошибка "Не могу определить определение оператора"+"". Следующий код выполняет операции сложения, вычитания и сдвига со знаком и без знака. Он выполняет нескольк…
03 мар '14 в 05:00
1
ответ
Как сдвиги реализуются на аппаратном уровне?
Как сдвиги битов реализуются на аппаратном уровне, когда число, на которое нужно сдвинуться, неизвестно? Я не могу представить, что будет отдельная схема для каждого числа, на которое вы можете сдвинуться (это будет 64 схемы сдвига на 64-разрядной м…
07 июн '12 в 13:15