Описание тега icarus
Icarus Verilog - это реализация Verilog HDL или языка описания оборудования.
2
ответа
4-битный счетчик Verilog, спроектированный с использованием отрицательных краевых триггеров
Я очень новичок в Verilog HDL и мне нужно кодировать этот 4-битный счетчик. С помощью некоторого чтения счетчиков вверх-вниз и t триггеров я уже сделал следующий код: module up_down_4bitcounter ( out, up_down, clk, data, reset ); //Output Ports outp…
05 июн '15 в 13:28
1
ответ
Verilog испытательный стенд для fifo
Может ли кто-нибудь помочь мне в написании кода испытательного стенда Verilog для следующего кода! Я пытался, но это не работает! это код для fifo(первый пришел, первый вышел) с одним тактом. я использую симулятор icarus fifo4: `timescale 1ns/10ps m…
21 мар '14 в 13:51
1
ответ
Тестовый код Verilog с использованием gEDA и iVerilog
Моя задача - кодировать простой декодер 2-4, а затем отображать возможные результаты и форму волны. Я использую комплект gEDA вместе с Icarus Verilog (iVerilog) в качестве компилятора и GTKWave для сигнала. Я впервые пишу с Verilog или работаю с пак…
25 ноя '14 в 02:27
1
ответ
Массив дампов памяти Icarus verilog ($dumpvars)
Я пытаюсь записать массив (reg [31:0] data [31:0]), но не могу сделать это успешно. Я пробовал то, что есть в вики iverilog: integer idx; for (idx = 0; idx < 32; idx = idx + 1) $dumpvars(0,cpu_tb.cpu0.cpu_dp.cpu_regs.data[idx]); Это работает, но …
01 дек '13 в 22:05
2
ответа
Icarus Verilog моделирование: выражение индекса области не является постоянным: я
Я имитирую 16-битный список соединений MIPS в Icarus Verilog. Это ошибка, которую я получаю в testbench mips_16_core_top_tb_0.v:144: error: Scope index expression is not constant: i mips_16_core_top_tb_0.v:144: error: Unable to bind wire/reg/memory …
11 июл '13 в 21:03
1
ответ
Сбой Icarus Verilog при компиляции модуля динамической памяти
Это мой первый пост на Stackru. Я новичок в Verilog, хотя у меня есть значительный опыт работы с Python, C и C++. Я использую Icarus Verilog версии 10.1.1 в Windows 10 и пытаюсь написать динамический распределитель памяти. По какой-то причине, когда…
17 окт '16 в 21:15
2
ответа
Галлио Икар против Testdriven.net
Каковы различия между использованием интегрированного инструмента VS, такого как Testdriven.net, и использованием бегунка GUI, такого как Icarus или NUnit GUI? Что вы предпочитаете и почему? До сих пор я обнаружил, что отчеты лучше в Icarus, чем в t…
01 сен '09 в 14:34
1
ответ
Конвертировать комбинационные петли в защелки
Можете ли вы порекомендовать алгоритм, который преобразует любую циклическую комбинационную логику в ациклическую комбинационную логику плюс защелки? Спасибо
12 ноя '14 в 19:56
1
ответ
Всегда против навсегда в Verilog HDL
В чем разница между always ключевое слово ( не always @ блок) и forever Ключевое слово в Verilog HDL? always #1 a=!a; forever #1 a=!a; Вот мои выводы, но я все еще не могу провести черту между ними: Из Википедии: Ключевое слово Always действует анал…
28 ноя '14 в 02:44
1
ответ
Бесконечный цикл при моделировании дизайна счетчика программ с помощью Icarus Verilog
Я реализую простой сумматор счетчика программ со следующим прототипом: module program_counter(input enable_count, input enable_overwrite, input[31:0] overwrite_value, output[31:0] out); При моделировании с Icarus Verilog я получаю бесконечный цикл п…
06 апр '14 в 22:10
0
ответов
gallio icarus debug - исходные файлы отсутствуют
Используя gallio icarus v 3.2 build 676, когда я нажимаю кнопку отладки, открывается Visual Studio 2010, однако я не получаю никакого исходного кода для своих тестов. Я получаю отладочную информацию в журнале выполнения и могу просмотреть исходный к…
21 дек '10 в 12:39
1
ответ
Файл синтаксической ошибки компилятора icarus verilos
Кто-нибудь знает, какой файл имеет грамматику в компиляторе icarus verilog? а также какой из них имеет синтаксическую ошибку при обработке и печати? Спасибо всем заранее
25 ноя '15 в 17:15
1
ответ
Поддержка SystemVerilog от icarus (компилятор iverilog)
Я использую iverilog на Mac, и у меня проблемы с компиляцией некоторых кодов, которые включают блоки Always_ff и Always_comb. ModelSim компилирует эти коды без каких-либо проблем. Можно ли настроить iverilog так, чтобы он поддерживал блоки Always_ff…
24 апр '17 в 18:47
2
ответа
Декодер Verilog 4x16 выводит неверные данные
Я реализовал декодер 4x16, используя Verilog вместе с его тестом. Для каждого случая декодер должен вывести 16-разрядную цифру, в которой только один из битов имеет высоту. Я не могу получить все желаемые результаты при запуске программы. Вот код дл…
18 мар '16 в 03:51
3
ответа
Простой Verilog VPI модуль для открытия аудио файлов
Я хотел бы написать интерфейс VPI/PLI, который будет открывать аудиофайлы (т.е. wav, aiff и т. Д.) И представлять данные в симуляторе Verilog. В данный момент я использую Icarus и хочу использовать libsndfile для обработки форматов входных файлов и …
16 июн '11 в 13:12
1
ответ
Непонятно для петли Икара Верилога
Я пытаюсь следовать основному примеру, приведенному здесь. https://www.youtube.com/watch?v=13CzlujAayc&list;=PLUtfVcb-iqn8ff92DJ0SZqwsX4W1s_oab&index;=17 Вот мой точный код maj3.v module maj3(Out, A, B, C); input A, B, C; output Out; wire AB, BC, AC…
23 мар '18 в 18:16
1
ответ
Ошибка тестового стенда iverilog: вход объявлен как провод, но это не так
Я очень новичок в iverilog и создаю счетчик, чтобы уменьшить тактовую частоту 100 МГц до чего-то более удобного для работы, как часть более крупного проекта. Я нашел некоторый код, который делает это, и поэтому я попытался написать тестовый стенд дл…
31 окт '17 в 00:43
1
ответ
Система сборки Verilog для возвышенного текста 3
Я пытаюсь реализовать простую систему сборки Verilog в Sublime Text, но я получаю следующую ошибку при сборке: [Errno 2] No such file or directory: 'iverilog' [cmd: ['iverilog', '-o', 'iverilog/compiled', '/Users/ryanbeltran/Documents/Programming/Ve…
12 мар '16 в 21:10
1
ответ
Verilog Full Adder Неожиданное поведение
Я пытаюсь сделать очень простой аппаратный модуль / тестовый стенд, чтобы освоить Verilog. Я попытался реализовать полный сумматор. Если я не ошибаюсь, у вас есть три входных, немедленных добавления a и b и перенос с места 2^n-1. Выходные данные сум…
13 фев '18 в 01:03
1
ответ
Тесты запускаются в Gallio / MbUnit, не пройдены; невозможно загрузить Castle DynamicProxy
У меня сборка.NET 3.5 покрыта примерно 4000 тестами MbUnit. Я запустил их с помощью программы mbunit.cons.exe без проблем. Сейчас я пытаюсь переключиться на Gallio (mbunit.cons.exe начал взрываться с исключением "недостаточно памяти" при создании от…
06 май '10 в 22:18