Описание тега function-coverage

Functional coverage measures the amount of functionality of a design that has been exercised in testing (not the number of functions that have been exercised).
1 ответ

Как получить ручку для укрытия?

Как я могу получить дескриптор для точки покрытия, чтобы я мог вызывать методы, используя этот дескриптор? Сначала мне нужно знать тип точки покрытия, чтобы я мог создать экземпляр дескриптора. Вот пример: class my_coverage_class; rand bit my_coverp…
24 июн '13 в 18:54
1 ответ

Определите корзину покрытия в системном Verilog, используя инкрементные значения

Я пытаюсь определить покрытие с помощью systemverilog для большой зоны покрытия. Например, я хотел бы определить корзину, которая начинается в 24'h000000заканчивается на 24'h001ff0и увеличивается на 24'h000008, Я пробовал это до сих пор; однако, он …
1 ответ

function_coverage не показывает правильный результат

Я разработал простой тестовый стенд uvm для проверки простого сумматора. Я также использовал функциональное покрытие для мониторинга покрытия. Сумматор 8 бит с входами a а также b и вывод c, что составляет 9 бит. Я разработал транзакцию с 8 битами r…
11 мар '15 в 23:49
1 ответ

Как получить источник выборочной корзины в Coverage в QuestaSIM

Я использую QuestaSIM и получаю объединенный отчет о покрытии от регрессии. Как я могу проследить отобранную ячейку из моего объединенного отчета о покрытии до его моделирования (название теста и начальное значение)?
03 июн '15 в 05:54
1 ответ

PhpUnit + Symfony: почему покрытие показывает белый цвет вместо красного и дает 100% на непроверенном классе?

У меня проблема, и я создал пустой проект, чтобы воспроизвести минимальную ситуацию, которая делает его повторяемым. проблема Проект с непроверенными классами дает 100% охват. Проблемные методы не вызваны косвенно откуда-то еще. Хотя существуют друг…
2 ответа

Динамические Точки прикрытия в Покрытии Systemverilog

class conf; typedef struct packed { int ns_size; int limit; } ns; int num_ns_supported; ns num_ns[]; function new(input int s=5); num_ns_supported = s; num_ns = new[s]; foreach(num_ns[i]) begin num_ns[i].ns_size = (1000 * (i+1)); end endfunction end…
04 июн '15 в 18:54
2 ответа

Как динамически ограничить диапазон покрытия предмета в specman?

Сигнал x является одним горячим сигналом битов 'n'. Я хотел бы охватить только одно горячее значение сигнала и не интересоваться другими значениями. Пример: если сигнал х имеет 3 бита, то я бы хотел, чтобы х достигал ниже значений, которых нет. x ==…
01 апр '13 в 05:32
1 ответ

Отчет о функциональном покрытии от FCOVER инструмента Riviera-PRO EDU 2014.10 от ALDEC

Ниже приведен отчет о функциональном покрытии (cov.txt), созданный с использованием инструмента Riviera-PRO EDU 2014.10 в EDA Playground на основе следующих команд, которые применяются в http://www.edaplayground.com/x/96S вс + доступ + р; бежать-все…
08 дек '14 в 14:04
1 ответ

Контейнеры Systemverilog

Я использую систему Verilog покрытия, и я хочу проверить диапазон бинов. Я хочу, чтобы он находился в диапазоне 1000-2000, но будут отбираться только те значения, которые в моде 5. Например 1000, 1005, 1010 и т. Д. Спасибо за помощь!
22 апр '14 в 05:51
3 ответа

Как покрыть задержку между запросом и ответом

Допустим, у нас есть протокол, где запрос req утверждается с req_id и соответствующий rsp будет утверждено с rsp_id, Они могут быть не в порядке. Я хочу покрыть количество кликов или задержку между req с особым req_id а также rsp с тем же идентифика…
25 июн '16 в 04:54
1 ответ

Своеобразная ошибка для покрытия перехода

Привет всем, я сталкиваюсь со странным сообщением об ошибке при отладке кода для функционального покрытия, в частности покрытия перехода. Есть два уровня вывода для fifo1 и fifo2 соответственно при выполнении покрытия для вывода первого уровня, т. …
03 фев '12 в 13:13
1 ответ

SV: Как создать функциональное покрытие для переходов, не беспокоясь о тактах?

Обычно, я бы просто использовал метод повторения для длительной последовательности времени для определенного перехода. то есть. covergroup test1 @(posedge clk) coverpoint( signal[1], signal[0]) { bins transition1 = (2'b00[*1:100] =>2'b11[*1:100] …
1 ответ

Specman e: Как отключить покрытие экземпляров / единиц?

В моей среде проверки под sys есть пример timer_sve, Под timer_sve У меня есть 2 других экземпляра: timer а также ocp_master: extend sys { timer_sve : timer_sve_u is instance; }; unit timer_sve_u { timer : timer_u is instance; ocp_master : ocp_u is …
27 ноя '14 в 09:56
1 ответ

Приемочные испытания и покрытие кода

Каков наилучший способ измерения покрытия для ваших приемочных испытаний? Как вы определяете, сколько охватывают ваши приемочные тесты и когда их достаточно?
1 ответ

Как создать подробный отчет о функциональном покрытии в Questasim?

Как сформировать подробный отчет о покрытии функционального покрытия? Я использую следующую команду для имитации моего кода: vlog -64 -work work -vopt +notimingchecks +cover +fcover -f pcie_jammer.f vsim -novopt -c <CODE SPECIFIC ARGS> -t ps w…
0 ответов

Покрытие функций и покрытие операторов

Я хотел бы знать, можно ли считать правильным, что "Покрытие функций строго считается подмножеством покрытия операторов" и почему
20 мар '20 в 16:01
0 ответов

можем ли мы ограничить отчет о покрытии функциональными возможностями вместо строк, охватываемых всем скриптом Python Unittest

Я хочу сгенерировать отчет о покрытии для всех файлов .py, который запускался, когда нижеприведенный сценарий (как показано на рисунке) выполняется, когда я использую модуль покрытия в python2.7, я получаю отчет в виде строк, выполняемых из этих фа…
0 ответов

Как реализовать переходное покрытие для произвольного значения?

У меня есть модуль Verilog со следующими сигналами вход CLK, ввод [31:0] ДАННЫЕ, ввод ДЕЙСТВИТЕЛЬНЫЙ, Я хочу написать группу прикрытия, которая охватывает сценарий ввода одних и тех же ДАННЫХ в модуль в течение 2, 3 или 8 последовательных тактовых ц…
13 дек '21 в 16:34
2 ответа

Если один тестовый пример не может обеспечить почти 100% функциональное покрытие, допустимо ли использовать несколько тестовых примеров для достижения каждой точки?

Поскольку новичку очень сложно написать тест-кейс, охватывающий все моменты, я решил написать много тест-кейсов. Каждый тестовый пример может охватывать некоторые точки покрытия. Объединив все случаи в отчете об охвате, можно охватить все точки охва…