Проблемы, связанные с продолжительностью синтеза Quartus

Я использую Quartus II 13.0sp1 (64-bit) Web Edition. Я использовал для разработки своих модулей в симуляторе ModelSim. К сожалению, когда я попытался протестировать свою программу, используя Altera Kit через Quartus II 13.0sp1. Запуск программы занимает так много времени. Помимо того, что моей операционной системой является Windows 8, и я использую ее на своем MacBook Pro 2,5 ГГц i5.

Вот моя библиотека, сущность и часть моей архитектуры:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity PrimeTest is
port( SW: in std_logic_vector(17 downto 0);
KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
LEDG: out std_logic_vector(8 downto 0);
LEDR: out std_logic_vector(17 downto 0));
end PrimeTest;  

Architecture Behavior1 of PrimeTest is
signal Binary_N: std_logic_vector(17 downto 0);
signal Binary_M: std_logic_vector(17 downto 0); 
signal integer_M: integer;
signal Binary_E: std_logic_vector(17 downto 0); 
signal Integer_N: integer;
signal Integer_R: integer;
signal binary_R: std_logic_vector(17 downto 0);
signal Test1 : std_logic ;

Я на самом деле запускаю свою программу, используя функцию, и некоторые из них нечисты (что может быть причиной этой проблемы!). Тем не менее, это вызов моей функции:

Begin
integer_M <= Integer_Binary(SW) WHEN KEY = "1110";
test1 <= IS_Prime(integer_M)WHEN KEY = "0111";
LEDG(8) <= test1; 
WITH KEY  SELECT
LEDR <= SW WHEN "1110",
(others=>'0') WHEN OTHERS;          
end Behavior1;

1 ответ

Извините, что ваша первая проблема - Windows-8 с Quartus. Если только они не исправили это совсем недавно, вы застряли в 32-битном режиме, используя только одно ядро. Если бы вы могли вернуться к Windows-7 на многоядерном компьютере и использовать 64-битный Quartus (и много памяти, у моей машины есть i7 и 32G оперативной памяти).

Другие вопросы по тегам