Серво не остановится на ПЛИС
Я работаю над созданием сервопривода на FPGA для цифрового замка.
My code is as follows:
`timescale 1ns / 1ps
/*
1 pin for servo--ORANGE CABLE
red cable-- 5V, brown cable-- GND.
Position "0" (1.5 ms pulse) is middle,
"90" (~2ms pulse) is all the way to the right,
"-90" (~1 ms pulse) is all the way to the left.
servo stuff:
http://www.micropik.com/PDF/SG90Servo.pdf
*/
//All i need to do is set SERVOPWM to 1 and 0 with delays i think
module ServoTestNShit(input M_CLOCK,
output [7:0] IO_LED, // IO Board LEDs
output reg SERVOPWM);
assign IO_LED = 7'b1010101; // stagger led lights just cause
reg [15:0] counter;
reg [15:0] counter1;
initial begin
counter1 = 0;
counter = 0;
end
//use counter to have a 1ms or 2ms or 1.5ms duty cycle for a while inorder to actually run
//because run it this way is asking the servo to move for 1.5ms so it cant atually move that fast
always @ (posedge M_CLOCK)
begin
counter <= counter+1;
counter1 <= counter1+1;
end
always @ (negedge M_CLOCK)
begin
//if (counter1 > 500)
//begin
SERVOPWM <= (counter <= 1);
//end
end
endmodule
В настоящее время я могу заставить его поворачиваться полностью вправо, независимо от того, отправляю ли я его через 2 или 1 мс. Большая проблема, которую я имею, состоит в том, чтобы заставить его работать ТОЛЬКО чтобы повернуть направо, а затем остановиться. Все, что я пробовал, заканчивалось тем, что оно либо не работало, либо работало без остановок, как будто я никогда не отправлял 0 на вывод.
Может кто-нибудь предложить лучший способ отправить его 0 после достаточно времени, чтобы повернуть полностью в одном направлении?
Спасибо!
1 ответ
Вам необходимо настроить напряжение на сервопривод с помощью широтно-импульсной модуляции (ШИМ). Другими словами, если вы хотите 10% напряжения, вам нужно установить выход SERVOPWM
10 процентов продолжительности.
Я бы сделал так:
module ServoTestNShit(input M_CLOCK,
input [7:0] voltage_percentage,
output [7:0] IO_LED, // IO Board LEDs
output reg SERVOPWM);
reg [7:0] counter;
initial begin
counter = 0;
end
// let the counter count for 100 time units
always @ (posedge M_CLOCK)
begin
counter <= counter+1;
if (counter <= 100)
counter <= 0;
end
// set the output 1 for voltage_percentage/100 of the time
always @ (negedge M_CLOCK)
begin
SERVOPWM <= (counter <= voltage_percentage);
end
endmodule