Утверждение не выполняется, имя экземпляра не является допустимым значением [7.1(IEEE)]
Мой код подтверждения следующий:
property p_crossbar_halted_chk12;
@(posedge `SOG_REST_SCOPE.clk)
$rose(`SOG_REST_SCOPE.crossbar_halted)
|-> ##[0:1] $rose(`SOG_REST_SCOPE.last_cycle)
|-> ##[0:1] $fell(`SOG_REST_SCOPE.last_cycle);
endproperty
ASSERT_P_CROSSBAR_HALTED_CHK12: assert property(p_crossbar_halted_chk12);
И он продолжает давать ошибку компиляции, говоря
ASSERT_P_CROSSBAR_HALTED_CHK12 свойство assert (p_crossbar_halted_chk12) Имя экземпляра не является допустимым значением [7.1(IEEE)]
1 ответ
Это происходит со мной, когда я пытаюсь заменить%s в вызове $sformatf макросом, подобным вашему. Попробуйте указать абсолютный путь вместо вашего макроса '`SOG_REST_SCOPE', и я думаю, что проблема будет решена.