Часы в eda-playground.com в verilog
При попытке отобразить осциллограмму часов на игровой площадке EDA появляется ошибка "Выполнение прервано или достигнуто максимальное время выполнения". Как мне получить форму волны, чтобы показать?
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
end
always
begin
#1 clk<=~clk;
end
endmodule
1 ответ
Не было $finish
так как сим работал бесконечно и был убит сервером. Добавление #100 $ отделка; к вашей основной тестовой программе даст вам пример 50 часов на EDA Playground.
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
#100 $finish; //<-- End simulation
end
always
begin
#1 clk<=~clk;
end
endmodule