Как сделать так, чтобы сообщения $display отображались в консоли SimVision

Я должен использовать пакет программ Cadence, чтобы выполнить задание класса Verilog, и я хотел бы знать, почему $display операторы в очень простом mock-testbench, который я создал, не выводят вывод в окне консоли SimVision.

Мой рабочий процесс выглядит следующим образом: я создал ячейку со следующим функциональным представлением:

module tesbench ( );
  initial begin
    $display("RUNNING TESTBENCH");
    $finish;
  end
endmodule

Затем я вызвал NC-Verilog, инициализировал и внес в список и смоделировал ячейку, которая открывает окно консоли SimVision. Запустив симуляцию, вы получите следующий результат:

ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4      $finish;
ncsim> 

Так $display вывод не показан. Это кажется очень простой проблемой, но я не могу понять, что я делаю неправильно.

1 ответ

Решение

Итак, я только что смог поговорить с инструктором, и он сказал мне, что это известная проблема с установкой и что в настоящее время нет обходного пути (программы работают на сервере, администрируемом университетом).

Другие вопросы по тегам